PackageDescription: DefaultPackageNameSpacesTest1


Default Package Name Spaces Test 1

Last published: July 8, 2003 by 'knight'

Defines 1 Classes
Extends 1 Classes


This is a simple package for testing that class extensions survive being unloaded code. Load the equivalent '2' parcel for this, then load this one and send "A new b". You shoul dget an instance of BClass back.